Be a vital component in the evolution of Battery Management System chip technology and PMICs that serve battery electric vehicles worldwide at Infineon's Graz location. With flexible work options, you can balance life and career while driving decarbonization and digitalization.
Digital Design Engineer
* End-to-End Digital Circuit Design & Verification: Lead the entire RTL design process from block-level to top-level, ensuring robust logic synthesis, static timing analysis, and seamless integration across clock domains.
* Defining Architecture & Hardware Requirements: Translate product requirements into hardware specifications, working with system concept engineers to define optimal architectures and interfaces for digital modules.
* Technical Leadership & Team Management: Plan and execute digital design activities, oversee quality inspections, provide post-silicon bring-up support, and mentor a growing team of designers and students.
* DFT & Functional Safety Compliance: Lead design-for-test (DFT) efforts, including scan insertion and ATPG, while ensuring compliance with ATV ISO 26262 standards for functional safety.
* Collaborate with System, Verification & Chip Integration Teams: Work closely with cross-functional teams to define hardware architectures, review verification plans, and develop test cases that achieve high coverage.
* Pre-Silicon Verification & Quality Assurance: Analyze clock domain crossings (CDC) and linting, review pre-silicon verification plans, and ensure designs meet rigorous quality and testing standards.
Requirements
* A university degree in electrical engineering, computer science, or a related field.
* 8+ years of hands-on experience in RTL digital design, including top-level integration of complex SoCs (analog on top or digital on top).
* Strong proficiency in RTL design using Verilog and SystemVerilog.
* Skilled in industry-standard tools like Excelium and Spyglass, with experience using UPF to manage power intent in design.
* Competent in scripting languages such as Python, Perl, and Tcl, along with medium-level experience in behavioral modeling.
* Proficient in design version control systems, including Perforce, ClearCase, SVN, and GIT.
* Fluency in English is essential, and knowledge of German is a plus.
About Infineon
As a global leader in semiconductor solutions for power systems and IoT, Infineon enables game-changing solutions for green and efficient energy, clean and safe mobility, as well as smart and secure IoT.
The development center in Graz is working on leading-edge contactless, security, and sensor technologies. We are committed to giving all applicants and employees equal opportunities and offer a working environment characterized by trust, openness, respect, and tolerance.